Page 1 of 1

Toggle and Flip-Flop module

PostPosted: Fri Sep 23, 2011 7:39 pm
by arend
Simple but useful, why are not listed as primitives ?
Toggle: alternates the logic output after each trigger.
toggle.fsm
Toggle
(291 Bytes) Downloaded 1851 times

Flip-Flop: a logic memory, with set and reset
FLIPFLOP.fsm
Flip-Flop
(413 Bytes) Downloaded 1815 times

Re: Toggle and Flip-Flop module

PostPosted: Fri Sep 23, 2011 7:45 pm
by Morph
You can find something similar in the switch. Also the last "Not" in the toggle seems not to be needed.

Cheers.

Re: Toggle and Flip-Flop module

PostPosted: Fri Sep 23, 2011 8:29 pm
by arend
The last '"NOT" is just for initializes the output module w/ "0'" logic.
brgds

Re: Toggle and Flip-Flop module

PostPosted: Sat Sep 24, 2011 12:07 pm
by DSP
Here's an alternative Flip-Flop that is simpler and safer.

Re: Toggle and Flip-Flop module

PostPosted: Sat Sep 24, 2011 7:59 pm
by arend
DSP,
Understood, tks !
brgds

Re: Toggle and Flip-Flop module

PostPosted: Wed Sep 28, 2011 1:45 am
by fixstuff555
DSP wrote:Here's an alternative Flip-Flop that is simpler and safer.


Um that's coming up as a newer version than mine which is 1.1.5. Is there a version newer than that?

Re: Toggle and Flip-Flop module

PostPosted: Fri Sep 30, 2011 3:57 am
by DSP
fixstuff555 wrote:Um that's coming up as a newer version than mine which is 1.1.5. Is there a version newer than that?


Indeed, I am also a beta tester!

Re: Toggle and Flip-Flop module

PostPosted: Sat Oct 01, 2011 2:48 pm
by fixstuff555
DSP wrote:
fixstuff555 wrote:Um that's coming up as a newer version than mine which is 1.1.5. Is there a version newer than that?


Indeed, I am also a beta tester!


Sweet... Can't wait to see it!